2008-09-01から1ヶ月間の記事一覧

ICGAトーナメント:chessにnokiaマシンが参戦w

http://www.grappa.univ-lille3.fr/icga/tournament.php?id=178&lang=3 10 Mobile Chess CHN Nokia 6120c 0.0 4 9.0 0.00 1勝もしてませんが、なかなか面白いw 1 Rybka USA Cluster, 40 cores 3.5 4 5.0 4.50 クラスターのRybkaとNokiaが対戦してるところは…

ICGAトーナメント:COM将棋はTacosが金メダル

http://www.grappa.univ-lille3.fr/icga/tournament.php?id=188&lang=3 順位 プログラム 国 得点 試合数 SOS SoDOS 称号 1 Tacos JPN 8.0 8 16.0 16.00 金 2 BitStronger (Shogi) CHN 3.0 8 36.0 3.00 銀 3 HIT+SS JPN 1.0 8 44.0 3.00 銅 これは順当としか(…

13th International Computer Games Championship, 囲碁 (9x9)

http://www.grappa.univ-lille3.fr/icga/tournament.php?id=180&lang=3 あれあれ? 彩がMoGoに一発入れて3位に入っていると思ってたら、 総合で加藤(gg)さんに不動碁に順位で負けているじゃないですか? どうしたんですか? 山下さん? CSA_MLに加藤(gg)さん…

Blunderに7連敗( ´Д`)

Blunder 6 - 10 (+7) 0.38 (0.00)

無双(3級)と対戦させる2

前回の対戦は無双側は「相手番で考える」「並列探索」で有利だったので、 そのへんを無くして、再戦。 終盤でひっくり返して勝ちました。無双の3級ってどのくらいの強さかな? 手合割:平手 先手:misaki 後手:無双(3級) ▲7六歩 △8四歩 ▲6八銀 △3四歩…

無双(3級)とmisakiを対戦させる

無双は早指し5秒。misakiは思考9秒 手合割:平手 先手:あなた 後手:3級 ▲7六歩 △3四歩 ▲2六歩 △4四歩 ▲4八銀 △4二飛 ▲5六歩 △6二玉 ▲6八玉 △7二玉 ▲7八玉 △3二銀 ▲5八金右 △8二玉 ▲9六歩 △9二香 ▲5七銀 △4三銀 ▲7七角 △9一玉 ▲8六歩 …

無双に「渡辺竜王vsBona」のあの棋譜を解かせる

モンテカルロ将棋で実験していた http://d.hatena.ne.jp/mkomiya/20080219/1203378890 先手 ▼v歩 9 8 7 6 5 4 3 2 1 ---------------------------+ 馬v桂 ・ ・ ・ ・ ・v桂v王|一a ・ ・ ・ ・ ・ ・v金v金v香|二b v歩 ・ ・ と ・v歩v角v銀 ・|三…

無双に「渡辺竜王vsBona」のあの棋譜を解かせる(2)

次はモンテカルロ将棋で実験していた http://d.hatena.ne.jp/mkomiya/20080224/1203824312 盤面上下反転させてます ▼v歩三v香二v銀二v金 9 8 7 6 5 4 3 2 1 ---------------------------+ v王v桂v金 ・ ・ ・ ・ ・ 龍|一a v香v銀 ・ ・ ・ ・ ・ …

新・東大将棋無双に「謎電」問題を解かせる

かずさんのリクエストで このへんで盛り上がっていた http://d.hatena.ne.jp/mkomiya/20080703/1215088245 謎電の高橋さんの問題を無双に解かせてみました。 (ちなみに、CSA拡張子の棋譜をドロップすれば読み込めます) 無双は「詰」のアイコンを押せば詰め…

祝!入玉勝ち宣言勝ち!(Floodgateで三度目)

まだ、オープン戦とか選手権とかGPW杯とか、公式の試合で入玉宣言勝ちを決めたソフトは無いと思います。 Floodgateでは過去に2回kachiでの決着があるそうです。 http://chocobo.yasuda-u.ac.jp/~nisimura/mymove/index.cgi?no=1387 西村さんにも祝って頂きま…

「思考ゲームプログラミング」森田和郎著(絶版)を1名様にプレゼント!

1986年に出版されたオセロの思考ゲームの作成法を記した本です。 森田将棋で有名な、モリタンこと森田和郎さんなど共著になっています。出版社はアスキーです。 もちろん絶版になっていて、懐かしのMS-Cのソースが載っています。 今となってはなんら参考にな…

Blunderの正体は!

Floodgateに突如として現れたBlunder http://wdoor.c.u-tokyo.ac.jp/shogi/tools/view/show-player.cgi?event=LATEST&filter=floodgate&show_self_play=1&user=Blunder 西村さんも http://chocobo.yasuda-u.ac.jp/~nisimura/mymove/index.cgi?no=1382 とりあ…

新東大将棋無双 会社に届きました

ヤマト運輸の袋に入った状態で来たので、箱がややつぶれている(^^; 早く家に帰りたいw (現在昼休み) http://schiphol.2ch.net/test/read.cgi/bgame/1215258437/841 841 :名無し名人:2008/09/25(木) 11:13:46 ID:9+8b7LcX http://www2.imgup.org/iup6958…

新東大将棋無双 発売前先行画面キャップ

アップローダなのので画像が流れる可能性あり (どうせ明日になればレポが多数出てくるはずなんで) http://schiphol.2ch.net/test/read.cgi/bgame/1215258437/705-726http://www2.imgup.org/iup695684.jpg 香落ちで激指7に勝利 http://www2.imgup.org/iup6…

伊藤さんのブログを読む

あらためてA級リーグ指し手一号の伊藤さんのブログを読んで整理すると http://aleag.cocolog-nifty.com/blog/2007/12/post_6750.html FPGAとPCがUSB経由でJTAGを使ってシリアル通信できる シリアル通信するには、FPGAにNiosIIコアを作る NiosIIコアは、FPGA…

スタックを作る

なにがしたいかよく解らんですが、 ゲーム木探索をするためにはスタックを作ることになるだろうってことで、 type stack_type is array (7 downto 0) of std_logic_vector(3 downto 0);vectorのarrayというのも宣言できるようですね。 リセットした時に配列…

新・東大将棋無双をフライングゲットされてる模様

http://blog.goo.ne.jp/mathshogi/e/c58f391c3f9d0be244e9204a1ff8a8ec 本屋さんの帰りに、パソコンショップに寄ってみたら、新東大将棋(無双)がありましたので、購入しましたということは、販売店には入荷済みってことで一般販売も金曜にちゃんと店頭に並…

新・東大将棋無双は出荷になってました

MYCOMの出荷履歴を確認すると出荷状態になってました。 間違いなく明日会社に届くと思われる。 しかし、都内だから翌日届くけど、これが九州の地方都市だったりすると二日かかるだろうから、 「先行販売」で買ってるのに、「店頭と同時に手に入る」という事…

FPGAでカウンターをちゃんと作る

帰宅しました。 VHDL実習を再開します。 というわけで、朝上手く動かなかったカウンターですが、 D(ボタン1)が立ち上がったときだけカウントすればOKでした。 クロック要らないっす。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_uns…

FPGAでカウンターを作る

とりあえずソースは次のようなものを。 CPU_RESETボタンを押すとカウンターを初期化しようと思ったんですが、押したときは'0'らしい? しかもQ_4には"1111"を入れるとLED全消灯らしい。どうも符号が逆で解りにくい。 リセットボタンを押すとリセットは働くけ…

PINアサインとりあえずやってみる

チップの絵が出てくるので、クロックは階段状のアイコンと。 LEDとかスイッチはどこかのピンとつながってるんだろうけど、 よく解らないので適当にしておく

シミュレーターツール起動、テストベクタ作成

Generate Functional Simulation Netlistを押す(よくわからんけど押す物と今は理解しておく) 次にopenを押して、テストベクターを作る画面に フリップフロップのソースは次のようなやつ library IEEE; use IEEE.std_logic_1164.all; entity test is port (…

シミュレーション実行!

クロック起動時にHを入れるとQにHが出てるし、 次にクロック起動時にLを入れるとQがLに変化してますね。 ちゃんとフリップフロップとして動いてますね! (゚Д゚ )ウマー FPGAにダウンロードしてみましょう。Dをスイッチにして、QをLEDにしておけば ボード上でフリ…

ソフトのインストール完了

USBケーブル差したらドライバーが入ってないらしく。あれ? アルテラのサイトの説明を読むと、QuartusIIのインストールフォルダーの中にあるらしい。 そこからドライバインストール 電源ボタンを押すと青くLEDが光る。Power-ON-testは終わった模様

QuartusII起動

ググったら解説サイトを発見 http://www.hpcl.sie.dendai.ac.jp/~yuji/quartus/ しかーし、画面のレイアウトとかが全然違う( ´Д`)GUI変わりすぎ……VHDL入門サイトからフリップフロップのソースをとりあえずゲットしてくる。 コンパイルするとエラーが出る…

秋葉でメディア買ってきました

久しぶりにアキバングに行ったら、単なる中古PC屋になってた( ´Д`) メディアはよくここで買っていた。 前は2chのステッカーを売ってたり、みなみけの動画を流してたりする店内だったなのに もう行かない。 ドスパラでTDKのBD-Rが5枚2580円だったの購入。台湾…

CycloneIIIセットアップ中

アルテラでライセンスを登録してlicence.datをゲット (LANカードのMACアドレスの登録なんているのね?)現在、QuartusIIのダウンロード中。現在30%、まだ30分ぐらいかかる模様……遅い…… これのインストールが終わったらNiosIIエンデベット・デザイン・ス…

今日の予定

CycloneIIIが届く予定なので届くまでは家にいます。 9月23日 持ち出し中 城東支店 東京都 もうすぐ来るかな? わくわく 届いたら、 GatewayノートPCをまだ修理に出してないので秋葉に行く BD-R,DVD-R DL(CPRM)のメディアを買ってくる BD外付けドライブを物色…

DIGAの新型の差異

http://review.kakaku.com/review/20274010403/ 【データカット】 合格・・・BSデジタル系だと、15〜20%くらいの容量カットです。大きいです。 【AAC互換】 合格・・・AVCで記録したタイトルを高速ムーブしてもPS3で再生できますSONYと同じようにデータ放送…

DMR-BW700は現在底値か?

http://bbs.kakaku.com/bbs/20274010368/ 2008/9/18 LABI大井町で購入 DVDレコーダー > パナソニック > DIGA DMR-BW700 systemveralogさん \89,800(ポイント20%で、実質\71,840)で購入。まだ在庫多数あるようです。新型が出てるので、現行機種は在庫限りなの…