2008-09-29から1日間の記事一覧

無双(3級)とmisakiを対戦させる

無双は早指し5秒。misakiは思考9秒 手合割:平手 先手:あなた 後手:3級 ▲7六歩 △3四歩 ▲2六歩 △4四歩 ▲4八銀 △4二飛 ▲5六歩 △6二玉 ▲6八玉 △7二玉 ▲7八玉 △3二銀 ▲5八金右 △8二玉 ▲9六歩 △9二香 ▲5七銀 △4三銀 ▲7七角 △9一玉 ▲8六歩 …

無双に「渡辺竜王vsBona」のあの棋譜を解かせる

モンテカルロ将棋で実験していた http://d.hatena.ne.jp/mkomiya/20080219/1203378890 先手 ▼v歩 9 8 7 6 5 4 3 2 1 ---------------------------+ 馬v桂 ・ ・ ・ ・ ・v桂v王|一a ・ ・ ・ ・ ・ ・v金v金v香|二b v歩 ・ ・ と ・v歩v角v銀 ・|三…

無双に「渡辺竜王vsBona」のあの棋譜を解かせる(2)

次はモンテカルロ将棋で実験していた http://d.hatena.ne.jp/mkomiya/20080224/1203824312 盤面上下反転させてます ▼v歩三v香二v銀二v金 9 8 7 6 5 4 3 2 1 ---------------------------+ v王v桂v金 ・ ・ ・ ・ ・ 龍|一a v香v銀 ・ ・ ・ ・ ・ …

新・東大将棋無双に「謎電」問題を解かせる

かずさんのリクエストで このへんで盛り上がっていた http://d.hatena.ne.jp/mkomiya/20080703/1215088245 謎電の高橋さんの問題を無双に解かせてみました。 (ちなみに、CSA拡張子の棋譜をドロップすれば読み込めます) 無双は「詰」のアイコンを押せば詰め…

祝!入玉勝ち宣言勝ち!(Floodgateで三度目)

まだ、オープン戦とか選手権とかGPW杯とか、公式の試合で入玉宣言勝ちを決めたソフトは無いと思います。 Floodgateでは過去に2回kachiでの決着があるそうです。 http://chocobo.yasuda-u.ac.jp/~nisimura/mymove/index.cgi?no=1387 西村さんにも祝って頂きま…

「思考ゲームプログラミング」森田和郎著(絶版)を1名様にプレゼント!

1986年に出版されたオセロの思考ゲームの作成法を記した本です。 森田将棋で有名な、モリタンこと森田和郎さんなど共著になっています。出版社はアスキーです。 もちろん絶版になっていて、懐かしのMS-Cのソースが載っています。 今となってはなんら参考にな…

Blunderの正体は!

Floodgateに突如として現れたBlunder http://wdoor.c.u-tokyo.ac.jp/shogi/tools/view/show-player.cgi?event=LATEST&filter=floodgate&show_self_play=1&user=Blunder 西村さんも http://chocobo.yasuda-u.ac.jp/~nisimura/mymove/index.cgi?no=1382 とりあ…