2008-09-24から1日間の記事一覧

新・東大将棋無双をフライングゲットされてる模様

http://blog.goo.ne.jp/mathshogi/e/c58f391c3f9d0be244e9204a1ff8a8ec 本屋さんの帰りに、パソコンショップに寄ってみたら、新東大将棋(無双)がありましたので、購入しましたということは、販売店には入荷済みってことで一般販売も金曜にちゃんと店頭に並…

新・東大将棋無双は出荷になってました

MYCOMの出荷履歴を確認すると出荷状態になってました。 間違いなく明日会社に届くと思われる。 しかし、都内だから翌日届くけど、これが九州の地方都市だったりすると二日かかるだろうから、 「先行販売」で買ってるのに、「店頭と同時に手に入る」という事…

FPGAでカウンターをちゃんと作る

帰宅しました。 VHDL実習を再開します。 というわけで、朝上手く動かなかったカウンターですが、 D(ボタン1)が立ち上がったときだけカウントすればOKでした。 クロック要らないっす。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_uns…

FPGAでカウンターを作る

とりあえずソースは次のようなものを。 CPU_RESETボタンを押すとカウンターを初期化しようと思ったんですが、押したときは'0'らしい? しかもQ_4には"1111"を入れるとLED全消灯らしい。どうも符号が逆で解りにくい。 リセットボタンを押すとリセットは働くけ…