2008-09-23から1日間の記事一覧

PINアサインとりあえずやってみる

チップの絵が出てくるので、クロックは階段状のアイコンと。 LEDとかスイッチはどこかのピンとつながってるんだろうけど、 よく解らないので適当にしておく

シミュレーターツール起動、テストベクタ作成

Generate Functional Simulation Netlistを押す(よくわからんけど押す物と今は理解しておく) 次にopenを押して、テストベクターを作る画面に フリップフロップのソースは次のようなやつ library IEEE; use IEEE.std_logic_1164.all; entity test is port (…

シミュレーション実行!

クロック起動時にHを入れるとQにHが出てるし、 次にクロック起動時にLを入れるとQがLに変化してますね。 ちゃんとフリップフロップとして動いてますね! (゚Д゚ )ウマー FPGAにダウンロードしてみましょう。Dをスイッチにして、QをLEDにしておけば ボード上でフリ…

ソフトのインストール完了

USBケーブル差したらドライバーが入ってないらしく。あれ? アルテラのサイトの説明を読むと、QuartusIIのインストールフォルダーの中にあるらしい。 そこからドライバインストール 電源ボタンを押すと青くLEDが光る。Power-ON-testは終わった模様

QuartusII起動

ググったら解説サイトを発見 http://www.hpcl.sie.dendai.ac.jp/~yuji/quartus/ しかーし、画面のレイアウトとかが全然違う( ´Д`)GUI変わりすぎ……VHDL入門サイトからフリップフロップのソースをとりあえずゲットしてくる。 コンパイルするとエラーが出る…

秋葉でメディア買ってきました

久しぶりにアキバングに行ったら、単なる中古PC屋になってた( ´Д`) メディアはよくここで買っていた。 前は2chのステッカーを売ってたり、みなみけの動画を流してたりする店内だったなのに もう行かない。 ドスパラでTDKのBD-Rが5枚2580円だったの購入。台湾…

CycloneIIIセットアップ中

アルテラでライセンスを登録してlicence.datをゲット (LANカードのMACアドレスの登録なんているのね?)現在、QuartusIIのダウンロード中。現在30%、まだ30分ぐらいかかる模様……遅い…… これのインストールが終わったらNiosIIエンデベット・デザイン・ス…

今日の予定

CycloneIIIが届く予定なので届くまでは家にいます。 9月23日 持ち出し中 城東支店 東京都 もうすぐ来るかな? わくわく 届いたら、 GatewayノートPCをまだ修理に出してないので秋葉に行く BD-R,DVD-R DL(CPRM)のメディアを買ってくる BD外付けドライブを物色…

DIGAの新型の差異

http://review.kakaku.com/review/20274010403/ 【データカット】 合格・・・BSデジタル系だと、15〜20%くらいの容量カットです。大きいです。 【AAC互換】 合格・・・AVCで記録したタイトルを高速ムーブしてもPS3で再生できますSONYと同じようにデータ放送…

DMR-BW700は現在底値か?

http://bbs.kakaku.com/bbs/20274010368/ 2008/9/18 LABI大井町で購入 DVDレコーダー > パナソニック > DIGA DMR-BW700 systemveralogさん \89,800(ポイント20%で、実質\71,840)で購入。まだ在庫多数あるようです。新型が出てるので、現行機種は在庫限りなの…

松下DMR-BW700で録画したAVCRECをパソコンで見るには?

BDに録画したAVCRECに関しては、松下機の場合、音声がオートと固定という問題があるんですが、 固定は強制ステレオ化で、そうしておけばSONY機と互換性があるようです ただ、オートにしているとサラウンドのままで互換性がない(デフォルトはオート) 固定じ…

FPGAデバイスに論理をダウンロード

pinsの設定で、pinアサインは、DはPIN_F1(ボタン1)、QはPIN_P13(LED1) にしてある。CLKは、PIN_V9(CLOCK)にしてあります。 シミュレーションは動いているので、Tools->Programmerのダイアログから「start」を選ぶとデバイスにダウンロードできる (一度…